2023年中芯国际研究报告 主营业务为集成电路晶圆代工业务

  • 来源:东吴证券
  • 发布时间:2023/05/04
  • 浏览次数:1743
  • 举报

1.中芯国际:国内首屈一指的晶圆代工厂

1.1.中国大陆集成电路自主制造的最先进水平,产能扩张稳步推进

公司是世界领先的集成电路晶圆代工企业之一,向全球客户提供 0.35μm 到 FinFET 不同技术节点的晶圆代工与技术服务。中芯国际总部位于中国上海,拥有全球 化的制造和服务基地,还在美国、欧洲、日本和中国台湾地区提供客户服务并设立营 销办事处。2004 年 3 月 18 日,公司于中国香港联合交易所主板上市,并 2020 年 7 月 16 日在上海证券交易所科创板鸣锣上市。中芯国际是中国大陆第一家实现 14nm FinFET 量产的晶圆代工企业,代表中国大陆集成电路自主制造的最先进水平。

公司主营业务为集成电路晶圆代工业务,并提供设计服务与 IP 支持、光掩模制造 等配套服务。在工艺技术方面,公司向全球客户提供 0.35 微米到 14 纳米,8 寸和 12 寸芯片代工与技术服务。此外,公司还为客户提供全方位的晶圆代工解决方案,包括 光罩制造、IP 研发及后段辅助设计服务等一站式服务(包含凸块加工服务、晶圆探测, 以及最终的封装、测试等),能够更有效的帮助客户降低成本,以缩短产品上市时间。

2010 年 7 月,中国信科成为公司第一大股东。截至 2022 年 12 月 31 日,大唐控股 持股比重为 14.11%,而大唐控股则由中国信科全资拥有,中国信科另持有 0.92%的公 司股份,合计持有公司 1.189 亿股股份,占公司股本总额的 15.03%,成为合计持有公 司股份的第一大股东,中国信科是公司间接控股股东。因中国信科不存在实际控制人, 故公司不存在实际控制人。公司背靠中国信科,与股东实行软硬结合的互动,始终秉 承合规经营、开放创新、共生共赢的态度,并携手国内外的产业链合作伙伴,共同建 立和维护健康高效的半导体生态体系。

2022 年 3 月,公司委任高永岗先生为董事长。高永岗先生曾任电信科学技术研究 院总会计师、大唐电信集团财务有限公司董事长,现任江苏长电科技股份有限公司董 事长与中国电子信息行业联合会副会长,高先生在财务管理、投融资以及企业管理等 领域有丰富经验,有助于公司继续持续健康发展。

1.2.公司产品结构多样,下游方向品类众多

当前,中芯国际的工艺平台包含先进逻辑平台、成熟逻辑平台以及特殊工艺平台。 其中,特殊工艺平台主要用于生产电源、DDIC、射频工艺技术、物联网应用平台等专 用领域的产品。先进逻辑平台主要用于人工智能、汽车电子和 5G 等专用领域。而成熟 逻辑平台则生产应用于手机基带和应用处理器、游戏、汽车、平板电脑、射频等领域 的产品,产品包含 28nm、40nm、65/55nm 等尺寸。

1.3.公司积极扩充产能,加快推进新厂建设

高水平资本支出支撑公司扩产计划与先进制程突破。2022 年资本开支大幅上调至 63.5 亿美元,2023 年资本支出预计维持同样高水平。2022 年新产能逐步释放的同时产 能利用率保持高位达到 92%。四个成熟 12 英寸新厂项目建设稳步进行,其中中芯深圳 已经投产,中芯京城进入试产阶段,中芯临港主体结构完成封顶,中芯西青开始土建。 深圳、临港、京城三座晶圆厂奠定未来 3-5 年产能翻倍基础,在需求景气度反转的预期 下,产能有序扩张将成为业绩增长的支柱。

1.4.营收规模逐年上升,控费水平稳健

公司近五年营收稳步上升,2017-2022CAGR 达 18.3%。2022 年,公司实现营业收 入 495.2 亿元,同比高增 39%;2022 年,公司实现归母净利润 121.3 亿元,同比增加 13%,2017-2022 年 CAGR 达 57.7%,收入业绩均稳定增长。新产线的投产使得公司面 临较高的折旧压力,归属于母公司股东的净利润水平较低,随着产能扩张公司盈利状 况有望持续改善。公司毛利率、净利率水平快速上升,控费水平稳健。2022 年公司毛利率水平处于 近五年来最高点,达到 38.3%;净利率水平也从 2017 年的 4.2%提升到 2022 年的 29.6%。主要原因系随着公司产能逐步释放,规模效益体现,费率水平将维持低位,尤 其是销售费用率始终维持在 1%以下,优于行业平均水平。

高强度研发投入推动先进制程研发。2022 年公司研发费用达 49.53 亿,同比增长 20.2%;研发团队扩充至 2326 人,同比增长 32.31%,占公司员工总数的 10.8%。公司 28nm 高压显示驱动工艺平台、55nm BCD 平台第一阶段、90 nmBCD 工艺平台和 0.11 μm 硅基 OLED 工艺平台已完成研发,进入小批量试产;多个平台项目开发按计划进 行,包括中国大陆领先的 28nm HKD 超低功耗平台、40nm 嵌入式存储工艺汽车平台、 4X nm NOR Flash 工艺平台、40nm 超低功耗平台优化、55nm 高压显示驱动汽车工艺平 台等。截至 2022 年底,中芯国际已累计申请专利 18799 件,累计授权 12869 件,申请 和授权专利的数量均在中国大陆半导体产业领先。

公司下游分布以通讯产品和消费品为主,国产化趋势下国内收入占比不断提升。 2019 年,公司下游分布中,通讯领域占比维持在 57%左右,消费领域占比维持在 43% 左右。从地域划分上看,公司在中国大陆及香港地区的收入占比不断提升,从 2017 年 的 45.4%提升至 2022 年的 73.2%,平均每年提升 5.4%,原因系半导体产业国产替代化 进程加速。

晶圆应用领域消费电子、智能家居占比提升,12 英寸晶圆收入为公司主要收入来 源。2022 年,晶圆收入按尺寸来分,8 英寸和 12 英寸收入占比分别为 33%和 67%,收 入金额同比增长 24%和 42%;晶圆收入按应用来分,智能手机、消费电子、智能家居、 其他应用占比分别为 27%、23%、14%和 36%。收入金额同比增长 14%、32%、48%和54%。其中,消费电子和智能家居的增长主要来自于家用电器和有线、无线连接、工 业物联网等应用。

2.半导体行业静待筑底反弹,国产替代空间广阔

2.1.半导体行业景气度筑底,2023下半年有望迎来拐点

半导体产业景气度 23Q3 有望迎反弹。受整体需求影响,全球半导体行业的销售 额连续 7 个月同比下滑,行业景气度筑底。半导体市场上一次负增长出现在 2020 年 1 月,目前半导体市场规模经过 7 个月的下滑回到 2020 年 9 月的水平。伴随经济回暖及 消费复苏,行业龙头厂商如应材、泛林、东电等半导体设备大厂均预期市场会在 2023 年下半年迎来复苏。

台积电表示公司预期半导体供应链库存过高的现象,将需要几个季度的时间来重 新平衡,逐渐回到至健康的水准,预计会调整到 2023 年上半;环旭电子也表示 22Q3 公司库存水位“还是比较高的”,主要是大客户业务旺季备料所致,22Q4 存货已回落 至合理水位,存货周转天数可以降至 48 天,维持在相当健康的水平;日月光投控预估 “23Q1 车用和网通应用持续强劲,不过产业库存调整修正将延续到明年上半年”。 半导体行业下游应用市场广泛,具体来看: 1)智能手机:IDC 认为 2023 年全球、中国市场出货量皆同比下降 1.1%,但 23 下 半年可能会有一定反弹,反弹趋势会延伸到明年,预计 2024 年全球/国内出货量将分别 同比增长 5.9%/6.2%;

2)PC:2023 年 PC 出货量预计将达到 4.03 亿台,2027 年预计将达 4.35 亿台, 2023-2027 年 CAGR 为 1.9%; 3)可穿戴设备:根据 IDC 数据 2023 年出货量将达到 5.23 亿台,预计 2027 年将 达 6.45 亿台,2023-2027 年 CAGR 为 5.4%; 4)新能源车:2022 年市场规模将达 523 万辆,同比增长 47.2%。2023 年以后随着补贴退坡,市场将回落到较为平稳的增长水平,2025 年有望达到约 1299 万辆,2021- 2025 年 CAGR 为 38%。预计 2023 年下游消费电子市场将进入弱复苏,新能源车等新 兴领域将呈现高景气度。

AI 趋势下对高算力芯片、存储芯片的增量需求拉动高制程晶圆需求。根据亿欧智 库测算,2025 年中国人工智能核心产业市场规模将达到 4000 亿元 2019-2025CAGR 预 计达 31.2%;中国 AI 芯片市场规模将达 1780 亿元,2019-2025CAGR 预计为 42.9%。 AI 技术蓬勃发展和广泛应用,对高性能计算能力的需求空前旺盛。随着 AI 模型复杂度 的增加和参数量的指数级扩张,对计算能力的要求不断提高。例如预计在 2024 年底至 2025 年发布的 GPT-5,其参数量将是 GPT-3 的 100 倍,所需算力为 GPT-3 的 200-400 倍,高性能 AI 芯片在满足这种日益增长的算力需求方面具有不可替代的作用。同时将 带动作为芯片生产原料的晶圆需求水涨船高。

2.2.晶圆代工市场寡头集中,国产替代任重道远

2.2.1.台积电开启晶圆代工时代,成为半导体制造主流模式

台积电开启晶圆代工时代,成为集成电路中最为重要的一个环节。1987 年,台积电的成立开启了晶圆代工时代,尤其在得到了英特尔的认证以后,晶圆代工被更多的 半导体厂商所接受。晶圆代工打破了 IDM 单一模式,成就了晶圆代工+IC 设计模式。 目前,半导体行业垂直分工成为了主流,新进入者大多数拥抱 fabless 模式,部分 IDM 厂商也在逐渐走向 fabless 或者 fablite 模式。晶圆代工商业模式,大幅降低了芯片设计 行业的资本门槛,推动全球芯片设计快速崛起,2018 年已经取代 IDM(垂直整合模式) 成为半导体制造主流模式。

台积电开创的晶圆代工业务模式获得成功。从两个方面影响着行业发展的变化趋 势:一方面亚洲(除日本外)半导体产业通过效仿台积电建立生产基地承接晶圆代工 业务以及封装测试外包业务的方式,在全球化的趋势中获得了自身定位;另一方面, 传统半导体厂商持续向轻资产的设计公司转型,为晶圆代工和封装测试外包业务提供 了更多的需求。供需两端均在推动以晶圆代工和封装测试为业务模式的分工合作方式 转化,推动产业链全球化。而产业链全球化最终的而结果也使得产业投资更加均匀。 晶圆代工模式在中国台湾、中国大陆、韩国以及东南亚地区迅速迎来了追随者,对于 亚洲半导体行业的发展起到了重要的推动作用,联华电子、中芯国际、东部高科等企 业纷纷开设晶圆代工业务。

2021 年全球晶圆代工市场规模达 1101 亿美元,占全球半导体市场约 26%,预计 2023 年将达到 1400 亿美元,2016-2023 年 CAGR 达 11.5%。当前已进入物联网时代, 在 5G、人工智能、大数据强劲需求下,晶圆代工行业有望保持持续快速增长。中国晶圆代工市场规模从 2017 年的 355 亿元增长到 2023 年预计的 903 亿元,CAGR 达 16.8%。 预计到 2026 年,中国大陆代工企业将占据全球纯代工市场 8.8%的份额,比 2006 年 11.4%的峰值份额低 2.6%。以台积电为例,2022 年,其营业收入 20766 亿元,同比高 增 37%,2006-2022 年 CAGR 超 30%。

2.2.2.晶圆代工行业呈现寡头集中

晶圆代工行业呈现寡头集中。晶圆代工是制造业的颠覆,呈现资金壁垒高、技术 难度大、技术迭代快等特点,也因此导致了行业呈现寡头集中,CR5 超 90%,其中台 积电是晶圆代工行业绝对的领导者,营收占比超过 50%。晶圆代工行业资金壁垒高。晶圆代工厂的资本性支出巨大,并且随着制程的提升, 代工厂的资本支出中枢不断提升。台积电资本支出从 17 年的 736.9 亿元增长到 22 年的 2477 亿美元,CAGR 为 19%。中芯国际资本性支出从 17 年的 158 亿元增长到了 22 年 的 422 亿元,CAGR 为 15%。巨额投资将众多追赶者挡在门外,新进入者难度极大。

随着制程提升,晶圆代工难度显著提升。随着代工制程的提升,晶体管工艺、光 刻、沉积、刻蚀、检测、封装等技术需要全面创新。以光刻环节为例,制程提升,光 刻机性能需要持续提升。光刻机的精度决定了制程的精度。第四代深紫外光刻机 DUV 能实现最小 10nm 工艺节点芯片的生产,更为先进的 EUV 光刻机用于 7/5nm 工艺,高 数值孔径 EUV(high-NAEUV)的 EUV 光刻新技术面向 3nm 及更先进的工艺。目前 EUV 光刻机产量有限而且价格昂贵,全球仅 ASML 一家具备生产能力,2022 年全年, ASMLEUV 销量仅为 55 台,单台 EUV 售价高达 2 亿美元。

晶圆代工技术迭代快,利于头部代工厂。芯片制程的演化从 1987 年的 1um 制程持 续到最近几年的 12nm、7nm、5nm,都在按照摩尔定律演进。台积电在 2018 年推出 7nm 先进工艺,2020 年开始量产 5nm,2022 年开始量产 3nm,预计 2025 年量产 2nm 工艺。芯片制程工艺已接近物理尺寸的极限 1nm,芯片产业迈入了后摩尔时代。在现 在的时间点上来看,摩尔定律仍然在维持,但进一步推动摩尔定律难度会显著提升。芯片制程进入 90nm 节点以后,技术迭代变快,新的制程几乎每两到三年就会出现。 先进制程不但需要持续的研发投入,也需要持续的巨额资本性支出,而且新投入的设 备折旧很快,以台积电为例,新设备折旧年限为 5 年,5 年以后设备折旧完成,生产成 本会大幅度下降。头部厂商完成折旧以后会迅速降低代工价格,后进入者难以盈利。

2.3.成熟工艺仍是主流,国内外厂商加码布局

不堪巨额资本投入,联电和格罗方德退出先进制程竞争。由于高昂的资本支出和 技术壁垒,联电和格罗方德分别宣布退出先进制程竞争:联电在 2017 年宣布未来经营 策略将着重在成熟制程;格罗方德也在 2018 年末宣布无限期暂缓 7nm 制程研发,并将 资源转而投入在相对成熟的制程服务上。未来推动更加先进制程的领跑者,将只剩台 积电、三星、英特尔,而中芯国际作为持续投入的赶超者,也将先进制程的竞争队伍。

成熟制程长期占比将维持在 7 成以上。成熟制程是全球需求最大,也是造成此前 “缺芯”的主要芯片。虽然智能手机、PC 等领域主要需要先进制程,但在物联网、智 能家居、汽车电子、通信、医疗、智能交通、航空航天等领域则主要依赖成熟制程芯 片。根据 TrendForce 显示,2021 年晶圆代工厂中,成熟制程仍占据 76%的市场份额。 由于先进制程受限于成本和技术普及率较低,成熟制程占比将维持在 7 成以上。

国内外晶圆厂商纷纷发力成熟制程。从预计新建产能来看,2022 年各晶圆代工厂 多半将扩产重心放置于 12 英寸(28nm 及以上)晶圆产能,而主要扩产动能来自于台 积电、联电、中芯国际、华虹等。后续国内的扩产主力为基于国产可控技术的成熟工 艺,在 CHIPLET 异构集成的大潮下,可以用成熟工艺+先进封装来实现部分先进工艺。

2.4.半导体产业链国产替代任重道远

2019 年以来,美国政府试图限制中国集成电路产业的发展, 并极具针对性地对中 国半导体产业上游的半导体设备、半导体材料、先进制造等薄弱环节展开技术封锁和 围剿,试图将中国集成电路产业孤立在全球供应链体系之外。近几年,中国连续出台系列支持政策,在财税、投融资、研究开发、人才、知识 产权等领域给予集成电路产业诸多优惠政策,各地方政府也陆续推出支持集成电路产 业发展的政策文件;与此同时,国家成立集成电路产业基金(大基金),并进一步带动 社会资本在集成电路领域的投资;其中,大基金一期主要集中于设计、制造、封测等 领域;大基金二期制造环节占比依然最重,但将更加重视材料、设备等上游产业链中 “卡脖子”的关键领域。

就晶圆制造环节而言,2019 年,生产所需的半导体设备、原材料、零部件自给率 不到 10%,除清洗等极少数环节中国企业具备 14nm、28nm 制程技术能力外,绝大部 分环节中国企业仅能满足 28nm 以上制程技术需求。材、部、装是美西方国家“卡脖子” 最关键领域之一。

先进半导体设备技术主要由美欧日等国主导。美国的刻蚀设备、离子注入机、薄 膜沉积设备、测试设备、程序控制、CMP 等设备的制造技术位于世界前列;荷兰凭借 ASML 的高端光刻机在全球处于领先地位;日本在刻蚀设备、清洗设备、测试设备等 方面具有竞争优势。SEMI 报告数据显示,国内半导体设备国产化率从 2021 年的 21% 提升至 2022 年的 35%。从设备类型来看,我国在去胶、清洗、热处理、刻蚀及 CMP 领域内国产替代率较高,均高于 40%,但在价值量较高设备领域内国产化率较低,如 光刻、离子注入等领域国产化率合计不足 5%。当前北方华创、中微、盛美、拓荆等国 内半导体设备厂商的产品满足成熟工艺的标准,半导体设备国产化率有望不断提升。

我国半导体材料国产替代率较低,核心材料加速国产化日益迫切。半导体材料包 括晶圆制造材料和封装材料。其中晶圆制造材料包括硅片、掩模版、电子气体、光刻 胶、CMP 抛光材料、湿电子化学品、靶材等,封装材料包括封装基板、引线框架、键 合丝、包封材料、陶瓷基板、芯片粘结材料和其他封装材料。细分来看,我国在壁垒 较低的封装材料市占率相对较高,而在光刻胶、湿电子化学品等晶圆制造材料市占率 极低。封装材料中除芯片粘结材料不到 5%,其他材料的国产化率不到 30%;而半导体 材料中除掩模版、抛光材料、靶材的国产化率达到 20%,其他材料均不到 10%。考虑 中美贸易摩擦、信越断供等外部冲击对于国内半导体产业链的影响,国内晶圆厂商给 予本土半导体材料厂商更多验证机会,有望进一步催化国内材料公司实现“从 0 到 1” 的突破。

3.中芯国际:成熟制程贡献主要收入,先进制程加速追赶

3.1.2023年下半年产能利用率有望触底回升

公司产能布局结构合理,产品结构丰富。中芯国际在北上深津各有晶圆生产厂分 布,其中在深圳有一座 8 英寸厂。制程为 0.18μm~0.13μm;天津的晶圆厂制程为 0.35μm~0.15μm。而上海有一座 12 英寸晶圆厂和一座 8 英寸晶圆厂,北京则有两座 12 英寸晶圆厂。中芯南方也位于上海,主要用于生产 12 英寸先进制程。受半导体行业周期波动影响,产能利用率有望于 23H2 探底回升。中芯国际的产 能利用率在过去十年间经历了数次周期波动。14Q1 公司产能利用率降至 84.2%,触达 底部之后快速反弹;17Q2 公司产能利用率再降至 83.9%,触达周期底部后也迅速回暖; 22Q4 公司 8 英寸晶圆当季出货量已降至 1574068 片,且公司产能利用率降为 79.5%, 23H2 有望探底回升。

展望 2023 年,智能手机和消费电子行业回暖需要时间,工业领域相对稳健,汽车 行业电子增量需求经可以抵消部分手机和消费电子疲弱的负面影响。上半年行业周期尚在底部,外部不确定因素带来的影响依然复杂。虽然下半年可见度依然不高,但公 司已经感受到客户信心的些许回升,新产品流片的储备相对饱满。我们预计随着消费 需求回温、再加上芯片公司愈加倾向于本土晶圆代工的趋势,在未来2-3个季度内,公 司现有产能利用率有望触底回升。

根据公司 2022 年业绩说明会,公司 1Q23 给出的指引是收入预计环比下降 10%- 12%,毛利率受产能利用率降低和折旧上升等影响,预计降到 19%-21%。地域外部环 境相对稳定的前提下,公司预计 2023 全年销售收入同比降幅为低十位数,毛利率在 20% 左右,折旧同比增长超过两成。资本开支与上一年相比大致持平,主要用于成熟产能 扩厂以及新厂基建。公司将尾部推进 4 个成熟 12 寸英工厂的产能建设。由于全球各区 域都启动了在地建设晶圆厂的计划,所以主要设备的供应链依然紧张,预计到 23 年底 月产能的增量与上一年相近 (9.3 万片/月,相当于 2022 年年底产能的 13%)。

3.2.特殊工艺以及成熟逻辑平台贡献公司主要收入

中芯国际的主要收入来源于特殊工艺以及成熟逻辑平台。公司为客户提供基于多 种技术节点的集成电路晶圆代工以及配套服务。其晶圆代工业务是以 8 英寸和 12 英寸 的晶圆为基础,截至 2022 年末,8 英寸晶圆收入占比为 33.0%,12 英寸晶圆收入占比 为 67.0%,12 英寸的收入较去年同期相比有所提高。按技术节点进行划分,公司的收 入主要来源于 0.18μm 以及 55/65nm。 从 2015-2021 年的营收结构看,中芯国际 55/65nm 以及 0.18μm 这两个技术节点 的收入之和均超过了一半的份额。公司非常注重发展特殊工艺与成熟逻辑平台上的特 质产品,提供不同平台制程工艺设计和制造服务,包括混合信号/CMOS 射频电路、非 易失性存储器(NVM)、高压电路、DDIC、系统级芯片、闪存内存、影像传感器,以 及硅上液晶微显示技术等。

成熟制程具备国际竞争力。在成熟逻辑工艺领域,中芯国际是中国大陆第一家提 供 0.18/0.15um、0.13/0.11um、90nm、65/55nm、45/40nm 和 28nm 技术节点的代工厂。公司 28nm 包含传统多晶硅和后栅极的高介电常数金属栅极制程,45/40nm、65/55nm 和 90nm 实现高性能和低功耗的融合,0.13/0.11um 和 0.18/0.15um 实现全铜和全铝制程, 产品覆盖处理器、移动基带、WiFi、数字电视、机顶盒、智能卡、消费性产品等领域, 在 28/40/45/55/65nm 和 0.11/0.13/0.15/0.18um 制程处于国际领先水平,在 90nm 和 0.25/0.35um 制程处于国内领先水平。根据 Counterpoint 数据,预计 2021 年全球成熟制 程晶圆厂中,按产能划分,中芯国际以 11%的份额排名第三,具备较强竞争力。

未来 2-3 年内中芯国际成熟制程有望持续扩产。中芯国际 2021 年成熟制程扩产达 等效 8 英寸 10 万片/月,2022 年扩产达等效 8 英寸 9.3 万片,并且扩充的产能均为成熟 制程。公司指引 23 年 capex 投入和新增产能都与 22 年持平,即约投入 63 亿美金,新 增 9.3w 片约当 8 寸产能。中芯国际在北京、上海临港、深圳建设的三条 12 寸新产线 均面向 28nm 及以上成熟制程,总设计产能达 24 万片/月,2022 年四个成熟 12 英寸新 厂项目建设稳步进行,其中中芯深圳已经投产,中芯京城进入试产阶段(中芯京城关 键机台的交付延迟,量产时间预计推迟 1-2 个季度),中芯临港主体结构完成封顶,中 芯西青开始土建。

特色工艺具备较强竞争力,覆盖下游主要应用领域:中芯国际特色 工艺覆盖电源/模拟芯片、DDIC、IGBT、存储、射频等,制程覆盖 0.35um~24nm,下 游领域涵盖手机、快充等消费电子,白电、工业变频、轨交等工业领域,电动汽车、 智能电网等新能源领域和无线通讯、IoT 等通信领域。

3.3.先进制程:国内唯一先进制程晶圆厂,国产替代及AI趋势的奠基者

在半导体制造过程中,MOS 结构选用方面,目前主要有 Planar FET(传统平面型 晶体管技术)、FinFET(鳍式场效应晶体管)、GAAFET(环绕栅极技术,包括 MBCFET)三种形式。结构的改进主要用来解决半导体制程不断缩小带来的短沟道效 应(DIBL、迁移率退化)、栅极漏电、泄漏功率大等问题。在成本和效能等多方面的权 衡下,Planar FET 主要用于相对成熟制程,FinFET 为 5nm-22nm 的主流结构, GAAFET 结构有望成为 3nm 及以下制程的主流。FinFET 技术可沿用至 5nm,公司有望加速突破制程。三星电子 2019 年宣布完成 了 5nm FinFET 工艺的研发工作,并宣布将在 3nm 的节点上采用 GAAFET 工艺,意味着 FinFET 结构至少可以沿用到 5nm 的节点,在之后的制程工艺研发上,中芯国际依 然可以沿用 FinFET 技术的研发成果,未来制程升级有望加速。

先进制程唯一挑战者,打开未来升级通道。随着先进制程工艺成本不断上升,仅 少数厂商能负担转向高级技术节点的费用,先进制程赛道竞争者数量大幅下降。格罗 方德于 2018 年宣布暂缓 7 纳米先进制程的开发,联华电子也不再投资 12nm 以下的先 进制程,当前只有台积电、三星、英特尔仍留在先进制程赛道上,公司是唯一的先进 制程挑战者。中芯国际在 2019 年下半年正式开始量产 14nm FinFET,成为继台积电、 三星、格罗方德、联电后的第五家掌握该技术并能够量产的厂商。

中芯国际目前 SN1(即中芯南方上海 FinFET 工厂一期)为 14nm 制程主要承载主 体, 尽管目前 SMIC 先进制程发展受制于美国实体清单等因素影响,但中芯南方在资 本投入方面拥有长期规划,并且在人才与技术上相较其他中国大陆厂家具有一定优势, 拥有丰富的客户资源和应用平台,长期发展向好。

先进产能稀缺性凸显,公司有望成为 AI 趋势的奠基者。近期以 ChatGPT 为代表 的 AI 科技日益火爆,而训练 AI 需要高性能的 CPU、GPU 及存储芯片,AI 科技革命驱 动先进制程产能需求的提升将带动全球晶圆代工需求增长。作为中国半导体产业内循 环的重心以及中国大陆唯一具备先进制程产能的晶圆厂,中芯国际的重要性与投资价 值日益凸显,在未来有望成为 AI 趋势的奠基者。从中长期看,愈加广泛的数字化、智 能化和绿色化的时代,对芯片的需求依然在持续增长。公司将顺势而为,把握产业规 律,夯实细分领域领先优势,加强与全球客户和系统公司的中长期捆绑合作,推动产 业链上下游合作共赢。


(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

相关报告
评论
  • 相关文档
  • 相关文章
  • 全部热门
  • 本年热门
  • 本季热门
  • 全部热门
  • 本年热门
  • 本季热门
分享至