中芯国际深度解析:中国“芯”,制造崛起

  • 来源:国盛证券
  • 发布时间:2020/07/03
  • 浏览次数:7917
  • 举报

一、中国大陆晶圆代工领军企业

1.1 二十年砥砺前行,已成为国内领先晶圆代工平台

中芯国际是中国大陆规模最大的晶圆厂,在全球纯晶圆代工领域排名第四。在中国芯片产业链中具有举足轻重的地位。中芯国际能为客户提供 0.35 微米至 14 纳米多种技术节点、不同工艺平台的集成电路晶圆代工及配套服务。截至 2019 年末,公司在上海、北京、天津和深圳的多个 8 英寸和 12 英寸的生产基地合计产能达到每月 45 万片晶圆(约当 8 英寸),全球市占率约 5%。

中芯国际于 2000 年在上海张江成立,2004 年公司于港交所、纽交所上市,同年台积电发起专利诉讼。在两次诉讼案风波后,公司管理层变动,王宁国博士接替张汝京。2011 年 8 月,原任华虹 NEC 的CEO 邱慈云接棒中芯国际,公司进入成长期,收入持续增长, 并且持续实现盈利。2017 年,梁孟松加盟后,经营重心重返技术追赶,持续向 14nm、N+1 等制程突破。

中芯国际技术节点丰富,涵盖广泛下游应用。中芯国际能为客户提供 0.35 微米至 14 纳米多种技术节点、不同工艺平台的集成电路晶圆代工及配套服务,在特色工艺技术平台形成包括电源/模拟、高压驱动、嵌入式存储、非易失性存储、射频、CIS 等 6 大技术平台。公司可提供的高端制造包括逻辑芯片、混合信号/射频收发芯片、耐高压芯片、系统芯片、闪存芯片、EEPROM 芯片、图像传感器芯片电池管理、微型机电系统等。

中芯国际在国内芯片产业链地位中占有举足轻重的地位。公司不断加速技术研发,建立关键平台和战略联盟,致力于成为世界一流的主流代工厂。公司提供一站式服务,除集成电路晶圆代工外,在设计服务与 IP 支持、光掩膜制造、凸块加工及测试方面提供完备配套服务,先进程度国内领先,涵盖绝大部分下游应用。

持续关注中国“芯”阵列核心标的,如晶圆代工、封测、IP 授权及设计服务、设备材料等国产化机会。随着当前国产半导体板块的日渐完善,我们已经看到从 IP 授权及设计服务、设计、晶圆代工、封测、设备、以及材料多领域的不同程度的国产化出现。随着中芯国际近日宣布的将于科创板上市后,A 股国产半导体家族将再得一名大将。

1.2 营收稳步增长,持续受益于国内芯片市场

营业收入稳步增长。2019 年,公司实现营业收入 220 亿元。2019 年 7 月,公司对外转让意大利子公司 LFoundry,扣除该影响,公司近三年营收呈现上升趋势。2019 年,公司实现晶圆代工收入 200 亿元,占比达到 93%。

大陆半导体产业 IC 设计与晶圆代工相辅相成共同发展在近两年来进入加速阶段。最典型的例子就是CMOS 图像传感器领域韦尔股份、利基型存储领域兆易创新、生物传感识别领域汇顶科技、模拟芯片圣邦股份、射频芯片卓胜微等一批 fabless 龙头的崛起驱动晶圆代工、封测环节成长。从收入地域看,来自中国大陆及香港的收入占比中高达 59%, 受益于国内芯片市场需求,该比例近几年持续提升。

中国是全球最大的芯片市场,中芯国际来自本土客户收入迅猛增长。根据 gartner,中国半导体市场占比从 2000 年的 7%预计提升到 2020 年的 50%,成为全球最大的芯片市场。2011~2020 年中国本土设计公司的复合增长率为 24%,远高于全球平均的 4%。2011~2019 年中芯国际来自于中国本土客户的收入复合增长高达 20%,持续受益于本土市场的兴起与开拓。

1.3 从成熟制程到先进制程,持续高投入加速制程追赶

成熟制程 40~65nm 贡献较高,先进制程逐渐发力。中芯国际收入按制程划分,成熟制程占比较高,收入贡献占比较高的主要包括 0.15/0.18 微米、55/65 纳米和 40/45 纳米等。中芯国际成熟制程由于大陆 Fabless 崛起,17~19 年产能利用率持续提升,盈利能力、产品结构均实现持续改善。先进制程实现突破,14nm 成功量产,预计在 2021 年有望开始达到收入 10%的比重。第二代 FinFET 技术已进入客户导入阶段。

特色工艺技术平台已达到行业先进水平。除逻辑电路制造外,中芯国际成功开发了电源/模拟、高压驱动、嵌入式非挥发性存储、非易失性存储、混合信号/射频、图像传感器等六大特色工艺平台,均已达到了行业先进的技术水平。公司利用特色工艺技术平台所制成的芯片产品已被广泛地应用于电源管理、汽车和工业、通信和消费电子等诸多领域。

公司持续大力投入研发,近年来研发强度大幅高于行业平均水平。根据招股说明书,公司目前在研项目 12 个,包括先进、成熟、特殊工艺。公司 2019 年研发费用 47 亿元,营收占比高达 22%,过去三年来显著高于其他晶圆代工厂商。

公司研发人数占比亦出现显著提升,2019 年研发人员增长至 2530 人,占总员工数比例达到 16%。研发人员参与较多的在研项目包括 14 纳米 FinFET 衍生技术平台开发(约100 人)、N+1 工艺技术研发(约 300 人)、新一代 28 纳米紧凑加强型低功耗(28HKC+)逻辑工艺开发和产业化(约 105 人)、22 纳米低功耗工艺平台(约 70 人)等。

继续加大研发投入,先进制程扩产快速推进。公司本次募集资金中的 40 亿元人民币用于先进及成熟工艺研发项目的储备资金,将用于 14 纳米及以下先进工艺技术研发和 28纳米及以上成熟工艺技术研发。预计将有助于公司在 14nm 之后,推进更多先进的制程技术。“12 英寸芯片 SN1 项目”的载体为中芯南方,该项目规划月产能 3.5 万片,已建设月产能 6,000 片,募集资金主要用于满足将该生产线的月产能扩充到 3.5 万片的部分资金需求。

1.4 搭建核心技术团队,大基金、资本市场、政策支持多方助力

前两大股东大唐电信、大基金,拟科创板上市发行 25%股份。中芯国际第一大股东为大唐香港(大唐电信全资控股子公司),持股 17%;第二大股东为鑫芯香港(大基金一期全资控股子公司),持股 15.76%。科创板拟发行 16.86 亿股,占发行后总股本的 25%。

公司董事长为周子学,联合 CEO 为赵海军、梁孟松,CFO 为高永岗。周子学曾任工信部总经济师,2015 年至今任公司董事长。高永岗曾任大唐电信集团财务有限公司董事长, 2009 年至今历任公司非执行董事、副总裁、执行董事、CFO 等。

核心技术人员加盟管理层,加快技术追赶。公司目前有 5 名核心技术人员,分别为赵海军(联合首席执行官)、梁孟松(联合首席执行官)、ZHOU MEISHENG(周梅生)(执行副总裁)、张昕(运营与工程资深副总裁)和吴金刚(技术研发副总裁)。其中,梁孟松于 2017 年加入公司,曾任台积电资深研发处长,拥有超过 450 项专利,发表技术论文超过 350 篇。梁孟松加入中芯国际以来,开始加大技术投入和追赶,14nm 的量产便是标志性事件。

中芯国际产线包括全资子公司的中芯上海(12 寸、8 寸)、中芯北京(12 寸)、中芯天津(8 寸)、中芯深圳(8 寸),联营子公司的中芯北方(12 寸)、中芯南方(12 寸)。主要盈利来自于中芯上海、中芯北京及中芯天津等成熟产线。中芯北方投产与 2016 年,运营时间较短、处于客户及市场开拓期,形成亏损;中芯南方仍处于试生产阶段,形成亏损。

中芯国际+国家大基金+北京地方资金,合资建厂。中芯北方成立于 2013 年,注册资金48 亿美元。中芯北方的股权结构主要包括中芯国际持有 51%股权,大基金一期持有 32%股权。中芯北方产线在北京,包括两座月产能分别为 3.5 万片的 12 寸晶圆厂,其中一座生产 40nm 和 28nm 的Polysion 工艺产品,另一座生产 28nm HKMG 工艺及更高技术水平,合计月产能为 7 万片。

大基金和上海基金加码中芯南方,助力先进制程扩产。中芯南方成立于 2016 年。2020年 5 月,大基金二期再次向中芯南方注资 15 亿美元,持股比例达 23.08%,上海集成电路产业基金二期注资 7.5 亿美元,持股比例 11.54%,中芯南方注册资本将由 35 亿美元增加至 65 亿美元。中芯南方作为中芯国际子公司,主要专注于 14 纳米及以下先进工艺制程,而此次增资金额将主要集中于继续拓展 14nm 产能,同时将投入与更加先进的工艺制程研发。

中芯国际回归 A 股,国产晶圆制造崛起。中芯国际公布将于科创板上市,拟发行 16.86 亿股募集 200 亿,国产晶圆制造龙头强势回归 A 股,募集资金主要投资于:(1)40%用于投资 12 英寸 SN1 项目(中芯南方一期);(2)20%用于公司现金及成熟工艺研发项目的储备资金;(3)40%用于补充流动资金。

中芯国际对技术、设备的持续投入获得政府较高补助力度。2017~2019 年公司政府补助分别为 10.2、11.1、20.4 亿元,均计入其他收益。作为拥有多项核心技术的高新技术企业,公司各期研发投入较高,也享受政府对公司各项科研成果较高的资金补贴。2019 年底,公司在手未确认补贴(递延政府资金)为 8.6 亿美元。

二、国产替代趋势下快速增长

2.1 Q1 历史性增长,Q2 继续向上

公司 2020年一季度月产能 47.6 万等价 8 寸片,环比增加 2.75 万片等价 8 寸片。主要增量来自于中芯北方 300mm、天津 200mm。

公司 2020 年一季度单季度收入 9.05 亿美元,同比增长 26%;单季度归母净利润 6416万美元,同比增长 423%。

公司单季度毛利率 25.8%。公司在 4 月 7 日上调过 Q1 业绩指引,毛利率指引区间25~27%。本次毛利率符合预期,环比增长 2.0 个百分点,同比增长 7.6 个百分点。同时,公司指引 Q2 毛利率继续提升。公司毛利率的强劲修复,主要由于较高的产能利用率、晶圆出货量增加、产品组合改善,反映了半导体周期修复及国产替代的强劲需求。

工艺优化、效率提高,出货量及毛利率双提升。产能利用率维持在高位,20Q1 产能利用率为 98.5%(19Q4 为 98.8%),单季度出货量同比增长 29%、环比增长 5%。受益于产品组合改善,ASP 单季度实现较大增长,等价 8 寸片从 19Q4 的 627 美元提升至 643 美元。

5G、光学、TWS 拉动需求,90nm 以下产品比重提升明显,12nm 启动试生产。

环比占比提升的尺寸主要包括 14nm、28nm、55/65nm。一季度 14nm 占比 1.3%(环比提升 0.3pct)、28nm 占比 6.5%(环比提升 1.5pct),55/65nm 占比 32.6%(环比提升 1.6pct)。

从制程上看,同比收入增速贡献最大的分别为 14nm(+184% yoy)、55/65nm(+97% yoy)、0.25/0.35um(+31% yoy)、40/45nm(+27% yoy)。2020 年一季度,下游模拟、功率、指纹识别、图像传感器、利基型存储等需求强劲,5G 手机射频升级、光学升级、TWS 放量等应用拉动较快。

根据法说会介绍,公司 14nm 产品覆盖通讯、汽车等领域,并基于 14nm 向 12nm 延伸, 启动试生产,与客户展开深入合作,目前进展良好,处于客户验证和鉴定阶段。

从终端应用的收入增速看,电脑收入增速 35.3%、通讯收入增速 53.8%、消费电子收入增速 47.4%、其他收入增速 8.5%。

20Q1 资本开支 7.77 亿美元,19Q4 资本开支 4.92 亿美元。公司上调资本开支计划,2020 年资本开支从 32 亿美元提升至 43 亿美元,主要用于中芯南方 12 寸晶圆厂投资以及成熟工艺生产线。

20Q1 单季度研发占比 18.4%(同比提升 6.9 个百分点)、管理费用 8.2%(同比提升 1.8个百分点)、销售费用 0.6%(同比下降 0.4 个百分点)。

二季度指引继续增长,全年产品结构持续升级。季度收入环比提升 3~5%;毛利率 26~28%(环比继续提升);经营开支 2.4~2.45 亿美元。二季度仍是需求强劲的季度,客户继续下单且增长没有放缓,客户目前库存水平较为健康。2020 年下半年的可见度仍然有限。公司展望全年收入增速预计 15~20%,毛利率高于 2019 年,产品结构持续升级,增长动力加速改善。

2.2 财报比较:行业产能利用率提高,行业龙头盈利能力强

行业产能利用率逐季提高,需求持续旺盛。从行业产能利用率周期来看,本轮周期行业产能利用率的低点在 2019Q1,2019 年半导体制造业链条晶圆代工、封测产能利用率均逐季上行。晶圆代工行业上行,台积电 2019Q4 法说会上,展望 5G、HPC、IoT 将持续拉动先进制程需求,同时 8 寸晶圆受益于指纹、PMIC 及Driver IC 需求推动。中芯国际受益于 CIS、电源、指纹、蓝牙和专用存储平台的增长,需求也非常紧张。

Capex 进入上行期,台积电、中芯国际纷纷增加资本开支。台积电率先推进大幅资本开资提升,推进先进制程应用。台积电 2018 年资本开支 104 亿美元,2019 年提升至 148亿美元,2020 年预期 150~160 亿美元。中芯国际 2019 年资本开支 22 亿美元,预期2020 年上升至 43 亿美元,开启新一轮资本开支

出货量上,行业龙头具有规模优势,中芯国际仍在追赶。从出货量上看,台积电 2019Q4 季度出货量约 282 万片等价 12 寸片,同比增长 5%,环比增长 3%;中芯国际 2019Q4 季度出货量约 60 万片等价 12 寸片。同比增长 19%,环比增长 2%。

行业龙头凭借技术、规模优势,享受最高的均价。从单价上看,以等效 12 寸计价,台积电 2019Q4 的代工价格高达 3631 美元/片,联电的均价和中芯国际相似,约 1400~1500 美元/片。并且,二线厂商在没有推进新技术的情况下,会承受价格下降的趋势。

台积电收入体量领跑行业,强者愈强。从收入规模上看,台积电 2019Q4 收入为 103.9亿元美元,同时期内联电收入 13.9 亿美元、中芯国际收入 8.39 亿美元、华虹半导体 2.43亿美元。根据 IC insight 数据,2013~2019 年晶圆代工行业复合增速为 8.7%。2013~2019年,台积电收入复合增速为 9.5%,联电为 2.4%,中芯国际为 7.1%。行业龙头保持领跑优势。

从毛利率角度,行业龙头台积电毛利率保持在 40~50%附近;集中于 8 寸片、较少进行先进产线和技术投资的世界先进、华虹毛利率在 30%附近;中芯国际、联电的产线技术分布较为全面,毛利率较低。世界先进、华虹的毛利率优势很大程度来自于较少的折旧金额。以折旧占收入的比重考虑,集中于 8 寸产线的世界先进、华虹在 10~20%之间, 而其他三家大多在 40%左右及以上。

从全球晶圆代工厂研发费用率上看,中芯国际作为二线厂商里唯一的先进制程追赶者, 在研发费用的投入比重上行业第一。2019 年,中芯国际的研发费用为 6.14 亿美元,占收入比重为 19.7%。2019 年台积电研发投入为 29.59 亿美元。

三、产能、制程扩张后,长期看中芯国际的空间

3.1 资本开支加码,加速扩产增加产能

台积电产能达到 100 万片/月等效 12 寸片。台积电目前拥有 1 座 6 寸晶圆厂、6 座 8寸晶圆厂和 5 座 12 寸晶圆厂,产能合计约 100 万片/月(等效 12 寸片)。主力的 12 寸晶圆厂共有 5 座,分布于新竹、台南、台中和南京。新竹厂、台南厂、台中厂月产能均超过 10~20 万片/月(等效 12 寸片),南京厂(Fab 16)在 2019 年底达 1.5 万片/月,台南新厂 Fab 18 瞄准 5nm 等先进制程也有望在 2020 年起量。

中芯国际产能达到 20 万片/月等效 12 寸片。中芯国际目前拥有 3 座 8 寸晶圆厂和 4 座12 寸晶圆厂,分布于上海、北京、天津和深圳,产能合计约 20 万片/月(等效 12 寸片)。目前主要扩产方向为 12 寸晶圆厂的中芯北方、中芯南方,中芯北方瞄准成熟制程,中芯南方瞄准 14nm 及以下的先进制程。中芯南方 SN1 规划产能均为 3.5 万片/月,截止 20Q1 产能达 0.4 万片/月,处于持续爬坡过程,计划年底达到 1.5 万片/月。

台积电出货量大约为中芯国际 5 倍。根据公司公告,台积电 2019 年产能为 1200 万片等效 12 寸片,中芯国际 2019 年产能为 246 万片等效 12 寸片。台积电 20Q1 晶圆出货量为 292.5 万片等效 12 寸片,中芯国际 20Q1 出货量为 62.5 万片等效 12 寸片。

台积电、中芯国际纷纷增加资本开支,资本开支差距在缩小。中芯国际在 2015 年以后, 再度将资本开支金额提升,与台积电的差距有所缩小。2019 年,台积电率先推进大幅资本开资提升,推进先进制程应用。台积电 2018 年资本开支 104 亿美元,2019 年提升至148 亿美元,2020 年预期 150~160 亿美元。中芯国际 2019 年资本开支 22 亿美元,预期 2020 年上升至 43 亿美元,资本开支加速,制程追赶和研发投入加码。

中芯国际规划产能处于快速增长阶段。考虑中芯国际资本开支上台阶,根据目前规划的处于爬产期和建设期的 B3、SN1、SN2 等项目,以每个工厂 3.5 万片/月计算,合计产能增长约 10.5 万片/月,大约是目前总产能的 50%(部分已投建)。

3.2 持续研发投入,制程差距有望缩小

中芯国际是国内首家可提供 28nm PolySiON 和 HKMG 先进制程的晶圆代工企业;随后完成 28nm HKC+技术开发,并于 2018 年末度成功实现量产。随着梁孟松加入,制程追赶重启。

14nm FinFEF 量产,12nm 有望逐渐试产。中芯国际 14nm FinFET 工艺的实现也标志着公司下游应用将迈进 5G、物联网、车用电子、高性能计算等领域。预计年底产能达到1.5 万片/月。公司 14nm 产品覆盖通讯、汽车等领域,并基于 14nm 向 12nm 延伸,启动试生产,目前进展良好。对于应用端,计划未来按三阶段进行推进:一阶段,聚焦高端客户,多媒体应用;二阶段,聚焦中低端移动应用,并在AI、矿机、区块链等应用有所准备;三阶段,发展射频应用。

14nm 量产之后,N+1/N+2 更值得期待。预计随着 14nm 产能扩充,占比有望持续提高,计划年底产能扩到 1.5 万片/月。N+1 新平台开始有客户导入,研发投入转换率加快提高。N+1 相比于 14nm,性能提升 20%、功耗降低 57%、逻辑面积降低 63%,意味着除了性能,其他指标均与 7nm 工艺相似,N+2 则有望在此基础上将性能提升至 7nm 水平。

台积电 5nm 即将放量,3nm预计 2021 年试产。2020 年,7nm 产品将贡献台积电 30%的营收。台积电预计 5nm 将会在本年下半年飞速增长,主要应用于手机和 HPC 相关产品,预计 5nm 将贡献 10%营收,预计 5nm 将成为台积电一个长期的工艺节点。3nm 的研发正在按计划进行,台积电计划在 2021 年进行试产,并在 2022 年下半年实现量产。台积电将继续在过渡结构中提供不同制程产品,以提供最佳的技术成熟度性能和成本, 与 N5 相比,N3 速度提高 10-15%,功率提高 25%至 30%

制程差距体现在产品价格上,台积电产品均价是中芯国际的 2~2.5 倍。从单价上看,以等效 12 寸计价,台积电 2019Q4 的代工价格高达 3631 美元/片(等价 12 寸片),中芯国际的均价约 1400~1500 美元/片(等价 12 寸片)。先进制程享有寡头垄断的产品溢价,二线厂商在没有推进新技术的情况下,传统制程每年呈现 2~4%的价格下降趋势。

2020 年晶圆代工市场重返增长,0.016micron、0.032micron 为当前收入占比最高的节点。根据 Gartner,2019 年全球晶圆代工收入 627 亿美元,增速为-0.2%。预计 2020年增速回到 8%。结构上,收入贡献最大的为 0.016micron(12/14/16nm),达到 97 亿美元;其次为 0.032micron(22/28/32nm),达到 86 亿美元。10nm 预计 26 亿美元,7nm 预计 85 亿美元。台积电 2019 年收入为 346 亿美元,占比达 55%。

制程突破打开目标市场空间,在大多数领域有望实现国产替代突破。中芯国际收入来源主要是 45nm 及以上,在 28nm、乃至 14nm 先进制程领域份额较少。随着中芯国际产能扩张、制程突破,未来有望将目标市场从 45nm 以上扩展到 12nm 以上,并逐步拓展到 10nm 以下的市场,理论目标市场能将近翻倍。实现先进制程之后,中芯国际将能代工除了高端手机 AP、CPU、GPU 和 AI 芯片以外的绝大多数芯片领域,广泛涵盖手机射频、物联网芯片、矿机芯片、MCU、机顶盒、CIS、指纹、Nor Flash 等多领域。

3.3 当制程升级、产能扩张后,中芯国际的财务表现?

晶圆代工厂的收入取决于产能、产能利用率和价格。根据现有投资规划,中芯国际产能方面增长约 50%,产能利用率受益于国产替代需求维持相对较高水平,价格则取决于行业竞争及产品组合,其中先进制程比重有望明显提高。

受益于先进制程量产,中芯国际产品均价有望得到提升。2019 年中芯国际产品均价为620 美元/片(等价 8 寸片)。考虑到 14nm 及以下单价高达 1000 美元/片(等价 8 寸片)以上,以及原有产品线每年 2~4%的价格降幅。因为新增先进产能的影响,中芯国际的产品均价在未来 3~4 年有望保持稳步提升。根据我们测算,产品均价有望提升至740~760 美元/片(等价 8 寸片)附近。

测算中芯国际毛利率预计稳中有升,盈利能力在中长期逐渐修复。毛利率一方面受益于高端产品放量和规模效应提升,另一方面由于资本开支增加导致较高的折旧压力。由于2020 年公司资本开支从 22 亿美元提升至 43 亿美元,后续资本开支预计保持较高水平,因此折旧占比将继续保持在较高水平,毛利率增长的来源主要将是产品组合优化。参考行业龙头厂商台积电折旧占收入的比重也始终在 40%以上,先进制程与高折旧会持续共同存在。

从 PB-ROE 视角到成长视角,中芯国际有望提升市占率。从 ROE 角度看,行业龙头台积电拥有最高的 ROE,其次是专注于 8 寸片的世界先进,均超过 20%。上市公司 PB 上,台积电和世界先进在 4~5 倍,其他主要厂商普遍为 1~2 倍。中芯国际在国产替代趋势下,有望提高市占率,具有较强成长属性。

随着中芯国际持续增加研发力度、资本开支,短期内的盈利能力受到一定限制。但如果只看剔除折旧后的 EBITDA 指标,则呈现一个较为稳中有升的状态。对标两种极限情况:

(1) 如果制程追赶取得持续突破:赋予成长属性预期。有机会持续提高份额和优化产 品组合,收入、利润率均能得到提高,以 PB-ROE 视角看 ROE 修复,PB 对标台积电4~5倍。同时,中芯国际受益于国内设计市场成长、国产替代需求和制程差距缩小,将具有较强成长属性。理想情况下,假设全球晶圆代工市场复合增速 8%,则 2027 年全球市场1360 亿美元。中芯国际份额从目前 6%有望提高到相对较高水平。

(2) 如果放弃研发投入和追赶:EBITDA 不比世界先进低。停止大规模资本开支,参考世界先进 5%研发费用率、资本开支占收入 5~10%,目前中芯国际 22%的研发费用率、占收入比重 60%的资本开支将有较大缩减空间。一方面,通过整体压缩费用率,净利率有望提升,并且中芯国际的 EBITDA 比世界先进略高 3~4%,对标世界先进 4 倍PB;另一方面,随着资本开支减少,将有望实现自由现金流。

四、市场空间:先进制程比重不断提升

4.1 晶圆代工市场保持增长,先进制程占比在提升

根据gartner 预测,2019 年全球晶圆代工市场约627 亿美元,占全球半导体市场约15%。预计 2018~2023 年晶圆代工市场复合增速为 4.9%。

开创专业分工模式,晶圆代工厂在半导体产业链中越来越重要。台积电开创了晶圆代工+IC 设计的模式。随着半导体制造规模效应的凸显,以及技术和资金壁垒的提升,IDM 模式下的厂商扩张难度加大,沉没成本提高。目前垂直分工模式成为了行业的发展趋势, 半导体新进入者大多采用 Fabless 模式,同时有更多的 IDM 公司如 AMD、NXP、TI 等都将走向 Fabless 或 Fablite 模式。

在晶圆代工的支持下,IC 设计厂迅速崛起。根据 IC Insight 数据,2009~2019 年 IC 设计行业的收入复合增速为 8%,IDM 行业的收入复合增速为 5%。IC 设计的繁荣兴起与先进制程的资本、技术密度提升,使得以台积电为代表的晶圆代工厂(Foundry)在半导体产业链中扮演越来越重要的角色。

根据 Gartner,从产能分布角度而言,2019 年全球晶圆代工等效 8 寸片年产能为 7838 万片,其中 0.18micro 达到 1363 万片,其次 65nm 达到 982 万片,45nm 达到 882 万片,32nm 达到 80 万片。根据台积电财报,台积电 2019 年等效 8 寸片产能超过 2700 万片,占比约 34%。根据拓璞产业研究,2019 年,28nm 以下制程的营收在前五大厂商(台积电、三星、格芯、联电、中芯国际)在的合计营收中占比约 44%。

先进制程比重快速提升。根据 ASML 在 2018 年底的预测,先进制程的占比会迅速提高, 其中部分现有制程的产线通过设备升级成先进制程产线。ASML 预测 2025 年 12 寸晶圆的先进制程占比会达到 2/3。

全球晶圆代工市场以晶圆厂所在地划分,全球晶圆代工前三大区域分别为中国台湾、中国大陆、韩国。台湾占比达到 66%左右,并在先进制程导入和新型产业趋势下引领行业发展。大陆处于追赶角色,比重正在持续提升,从 2017 年的 9.0%提升至 2023 年的12.9%。韩国三星持续加大投资,因此韩国的份额也保持略有增长。

2019 年中国大陆晶圆代工市场约 2149 亿元,大陆集成电路向“大设计-中制造-中封测”转型,大陆的设计、制造将起航。2018 年中国大陆集成电路产业继续保持快速增长, 规模达到 6531.4 亿元,同比增长 20.7%,预计到 2020 年突破 9000 亿。中国大陆集成电路产业结构将继续由“小设计-小制造-大封测”向“大设计-中制造-中封测”转型,产业链逐渐从低端向高端延伸,产业结构更趋于合理。

4.2 半导体硅含量持续提升,12 寸硅晶圆保持快速增长

长期维度下电子化趋势推进,硅含量不断提升。半导体硅含量代表电子系统中半导体集成电路芯片总价值占电子系统价值的百分比,可用来衡量半导体的渗透率。如果从下游需求分析,硅含量就是下游需求中半导体芯片的渗透率。从长期的维度上来看,电子化是不断推进的趋势,而各类电子产品中的半导体含量过去 20 年来都在不断上升,简称“硅含量”提升

硅片/硅晶圆是制造芯片的核心基础材料,高纯度要求下工序流程复杂、设备参数要求高。Raw wafer 在整体成本中的占比并不高(不到 10%,芯片制程越先进占比越小),但是,硅晶圆作为芯片制造的基础核心材料能够从量上直接观测行业芯片的产出、先进制程升级的节奏。

硅片/晶圆供给的主要增长来自于 12 寸(300mm),8 寸片以存量产能为主。根据硅片龙头 Sumco 在 2019Q3 的指引,2018~2022 年 12 寸硅片需求数量复合增长率预期为4.1%;12 寸硅片供给数量复合增长率预期为 3.9%,供给增速低于需求增速。从需求侧分拆,硅片几大需求包括Nand、Dram、Logic 和其他。

五、摩尔定律:先进制程成为晶圆制造的分水岭

5.1 摩尔定律没有失效,但资本壁垒迅速提升

摩尔定律:当价格不变时,集成电路上可容纳的元器件的数目,约每隔 18~24 个月便会增加一倍,性能也将提升一倍。英伟达的黄仁勋认为严格意义上的摩尔定律已经失效, IMEC(比利时微电子研究中心)、ASML 等机构为半导体产业规划的蓝图里摩尔定律持续演进。摩尔定律没有失效,但是制程之外的设计与工艺扮演越来越重要的角色,同时资本密集度的迅速提升使得行业壁垒发生变化。

摩尔定律推进,但制程提升贡献比例在下降。根据 AMD 数据,过去十年制程升级带来更高性能、更低功耗,制程升级为半导体性能提升贡献 40%。根据 ASML 预测,2018~2028年的未来十年半导体性能提升进一步加速,制程提升的贡献为 30%左右,剩下增长来自于诸如 3D Stacking、多核架构、内存整合、软件系统、电源管理等多方面的升级。因此, 在未来的芯片性能提升中,架构、系统、软件将扮演越来越重要的角色。

晶圆制造行业发展趋势面临 Die Size 限制和成本限制。过去十年,CPU 及 GPU 的 Die Size 呈现上升趋势,但受制于 12 寸晶圆产线,Die Size 的增长是不可持续的。同时,相同 Die Size 的晶圆产线,单位面积成本也不断攀升,5nm 的单位面积成本是 45nm 的 5倍。

先进制程的持续升级带来巨额的成本。根据 IBS,3nm 芯片的设计费用约 5~15 亿美元,工艺开发费用约 40~50 亿美元,兴建一条 3nm 产线的成本约 150~200 亿美元。3nm 芯片仅比 5nm 芯片提升 15%性能、降低 25%功耗。根据 IMEC 论文,7nm 以后,每一代升级单个晶圆的工艺成本(Process Cost)提升幅度达到 30%。同样面积的硅晶圆,即使通过微缩增加了晶体管的数量,生产成本也会相应增加。

资金、技术壁垒提升,先进制程的供给端向寡头垄断发展,先进制程供不应求。

创新推动先进制程需求。根据台积电的产品组合,最先进制程主要是为 logic 和部分 RF 提供,主流的成熟制程能覆盖大部分其他应用领域。随着创新不断升级,5G、AI、物联网等需求提升,创新导入时使用的制程工艺从成熟向先进工艺升级。

供给受限于有限的产能。目前先进制程的供给端只有台积电、三星、英特尔。英特尔为IDM,自家消费级 10nm 产品产能不足、市场缺货。受益于 5G、智能手机、HPC、AIoT等需求,7nm 及以下先进制程需求旺盛。台积电为先进制程的核心晶圆代工厂,目前10nm 工艺客户已经超过 10 家,7nm EUV 客户至少 5 家(苹果、海思、高通、三星、AMD),6nm 客户除了 7nm EUV 的 5 家还多了博通、联发科。台积电 7nm 产品持续满产,多个客户争抢产能,由台积电进行产能配置。

5.2 晶圆制造行业技术复杂度不断提升

摩尔定律引领半导体产业,实现产业持续升级需要贯穿整条产业链,包括上游(设备如光刻机厂商 ASML)、晶圆制造(台积电、英特尔、三星)以及下游(IC 设计如苹果、AMD、海思、高通、联发科等)等环节的厂商协同。

光刻机从 DUV 到浸入式 DUV,再升级成 EUV,成为推进摩尔定律的重要环节。根据ASML 预测,晶圆代工领域节点会持续升级;内存 DRAM 领域也将使用 EUV;闪存 Nand 等向 3D 堆叠发展,不需要用EUV 升级。其他设备龙头厂商如 AMAT、Lam Research、KLA Tencor 等也纷纷布局先进制程节点相关设备。

晶体管结构创新,形态更加复杂。2011 年,英特尔在 22nm 时引入 FinFET,减少横向尺寸,增加单位面积设备密度,同时增加鳍的高度。三星计划于 2021~2022 引入 GAA, 应用于其 3nm 制程。台积电除了GAA 晶体管结构之外,也进行其他方向布局。

先进封装技术是高性能芯片的重要基础之一。硅通孔(TSV)的三维封装技术在超越摩尔定律中扮演重要角色。先进封装技术提升了互联密度和信号传输速率。在已经量产的2.5D IC 领域,台积电主推CoWoS 工艺,英特尔主推EMIB 工艺,三星主推 FOPLP。未来通过难度更高的 TSV 技术,台积电将进一步量产 SoIC、WoW 等 3D IC,英特尔推出Foveros 技术,三星推出 3D SiC。

5.3 护城河加深,行业高壁垒、高集中、少进入者

先进制程呈现资金、技术壁垒不断提高的趋势,行业格局逐渐出清。从制造环节而言,行业资金、技术壁垒极高,不仅十多年来没出现新的竞争玩家,而且随着制程分水岭的出现,越来越多的参与者从先进制程中“出局”。格罗方德在 2018 年宣布放弃 7nm 研发,联电在 2018 年宣布放弃 12nm 以下(即 7nm 及以下)的先进制程投资,因此保持先进制程研发的玩家仅剩行业龙头台积电、三星、英特尔等,以及处于技术追赶的中芯国际。

高资金壁垒和技术壁垒,行业十多年没有新的竞争者出现且越来越多现有玩家放弃先进制程追赶。庞大的资金投入使得中小行业玩家望而却步,复杂越来越高的工艺和技术成为行业固有护城河,并且随着“摩尔定律”推进,每一个制程节点都举步维艰,拥有高端制程能力的公司屈指可数。

行业呈现寡头垄断,台积电强者愈强。根据拓璞产业研究,2019 年全球十大晶圆代工厂分别为:台积电、三星、格芯、联电、中芯国际、TowerJazz、H-Grace、VIS、PSC、DongbuHiTek。台积电市占率超过 50%,在整个晶圆代工行业,台积电不管是技术领先性还是优质客户和订单的选择,都是保持比较大的优势。

目前能够提供 7nm 及 7nm 以下先进制程工艺(对应英特尔 10nm)的厂商仅有台积电、英特尔和三星。根据拓璞产业研究,2019 年台积电先进制程市场份额为 52%,英特尔约 25%,三星约 23%。

晶体管密度不断提升,但不同厂商命名规格有区别。英特尔的 10nm 工艺晶体管密度介于台积电 7nm 和 7nm EUV 之间。2019 年,台积电 7nm 制程投资大概 100~110K 产能,客户较多。三星 7nm LPP(EUV)工艺产能大概 10K,三星的晶圆代工业务客户主要是三星、高通、IBM。根据拓璞产业研究,2020 年台积电 5nm 产能预计 60~70K,同时三星预计也会推出其 5nm 工艺。

台积电积极推动先进制程,引领全行业。根据制程性能提升幅度上看,28nm、16nm、7nm 等具有显著提升幅度的节点,一般具有相对较长的寿命;而提升幅度较少的节点一般为过渡节点。台积电 6nm 预计在 2020Q1 进行风险试产,预计 2020 年年底量产;5nm 进入爬坡提升良率阶段,预计 2020 年 3 月开始量产。台积电的 5nm 逻辑密度将是之前7nm 的 1.8 倍,SRAM 密度是 7nm 的 1.35 倍,可以带来 15%的性能提升,以及 30%的功耗降低。

英特尔在 10nm 制程上稍微落后,随后 7nm 预计在 2021 年量产,并持续进行优化。英特尔制程升级历史一般是两年一次,但从 2014 年的 14nm 到 2019 年的 10nm,出现明显的升级放缓。目前,英特尔的 10nm 工艺已经量产,但存在缺货问题。英特尔预计2020 年推出 10nm+,2021 年推出 7nm 及 10nm++,2022 年推出 7nm+,2023 年推出 7nm++。英特尔的晶圆厂主要用于生产自家 CPU。

英特尔 2020 年capex 提升至 170 亿美元,其中一半用于 7/5nm 和扩大 Fab 工厂。英特尔的 10nm 还是采用浸入式 DUV 设备,7nm 才开始导入 EUV 设备。英特尔的芯片从2018H2 开始就供不应求,因此 2019 年 capex 为 162 亿美元,产能增长 25%。

三星积极投入晶圆代工领域,加快制程升级。三星在 2017 年将晶圆代工业务部门从系统 LSI 中独立出来,主要为全球客户制造非存储芯片。截止 2019 年底,三星晶圆代工专属线包括 6 条 12 寸线和 3 条 8 寸线。提供包括 65 纳米、45 纳米、32/28 纳米 HKMG、14 纳米 FinFET、10 纳米FinFET、7 纳米 FinFET EUV 工艺,客户包括苹果、高通、超微半导体、赛灵思、英伟达、恩智浦(NXP)以及韩国本土公司 Telechips 等。三星计划在2020 年底试产 3nm 工艺,并专用 GAA MCFET 工艺技术。三星计划在未来十年(至 2030 年)共投资约 1150 亿美元,用于争取晶圆代工行业主导权。

六、小结

预计,中芯北方、中芯南方将要进入快速扩产阶段,贡献产能增长的主要来源。预计 2020~2022 年中芯国际等效 8 寸产能增速分别为-9%、13%、13%。2020 年下降主要由于 2019 年出售意大利子公司 Lfoundry。

考虑到 14nm 等先进制程较高的单价,预计 2020~2021 年产品均价稳步上升。同时由于国产替代、制程升级、经营改善等因素,预计产能利用率维持将对较高位置。


(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

相关报告
评论
  • 相关文档
  • 相关文章
分享至